当前位置:在线查询网 > 在线百科全书查询 > “Alu”查询结果

“Alu”查询结果_在线百科全书查询


请输入要查询的词条内容:

Alu I

■识别位点■制品内容■制品说明一种限制性内切酶■识别位点AG^CTTC^GA■制品内容酶浓度4~12U/μl附带缓冲液反应缓冲液L反应停止液10×LoadingBuffer反应温度37℃■制品说明起源Arthrobacterluteus活性测定用底物λDNA 详情>>

Alu


Alu

限制性内切酶,由膝黄节杆菌产生。识别位点是:AGCT切割后的片段为平端它属于限制性内切酶Ⅱ类。 详情>>

Alu


Alu聚合酶链反应

详情>>

Alu 聚合 酶链 反应


Alu重复序列

详情>>

Alu 重复 序列


ALU

算术逻辑单元(ArithmeticLogicUnit,ALU)是中央处理器(CPU)的执行单元,是所有中央处理器的核心组成部分,由"AndGate"和"OrGate"构成的算术逻辑单元,主要功能是进行二进制的算术运算,如加减乘(不包括整数除法)。基本上,在所有现代CPU体系结构中,二进制都以二补数的形式来表示。定义运算方法结构运算器主要功能下面举例8位ALU的两种设计方案生物学中ALU定义计算机中 详情>>

ALU


Alu family

参见:Alu家族 详情>>

Alu family


alu.exe

进程名称:ALU.exe英文描述:进程分析:ASUS(华硕)主板驱动自动在线更新程程序用途:unknown作者:unknown属于:unknown安全等级(0-5):N/A(N/A无危险5最危险)间谍软件:否广告软件:否病毒:否木马:否系统进程:否应用程序:否后台程序:否使用访问:否访问互联网:否 详情>>

alu exe


Alu家族

ALU家族:Alu家族每个成员的长度约300bp,每个单位长度中有一个限制性内切酶Alu的切点(AG↓CT),Alu可将其切成长130和170bp的两段。ALU是中度重复序列的一种,具有种特异性,可用于区分不同的哺乳细胞,不同的Alu成员的侧翼重复顺序各不相同,在相近的生物体中Alu家族在结构上存在相似性人类Alu序列的第二个单体的插入序列相似但是不相同。它广泛分布于人和哺乳动物的基因组中,是哺乳 详情>>

Alu 家族


Alu序列

结构特点功能作用Alu碱基序列结构特点Alu重复序列是哺乳动物基因组中SINE家族的一员,约有50万份拷贝。也就是说平均4~6kb中就有一个Alu序列。由于这种DNA序列中有限制性内切核酸酶Alu工的识别序列AGCT,所以称为Alu重复序列。典型的人基因组Alu序列长282bp,由两个同源但有差别的亚基构成。限制性剪切酶AluI可将其剪切成130bp和170bp两段,因此将其定名为Alu序列,平均 详情>>

Alu 序列


Backdoor/SdBot.alu

病毒名称:Backdoor/SdBot.alu中文名:“赛波”变种alu病毒长度:变长病毒类型:后门危害等级:★★影响平台:Win9x/2000/XP/NT/Me/2003Backdoor/SdBot.alu“赛波”变种alu是利用网络弱密码共享传播的后门程序,并可通过特定IRC通道进入用户计算机。利用“挪威克”变种所开启的后门,自我复制到被感染计算机。该后门程序运行后,自我注册为服务项,并创建互 详情>>

Backdoor SdBot alu