当前位置:在线查询网 > 在线百科全书查询 > “输出”查询结果

“输出”查询结果_在线百科全书查询


请输入要查询的词条内容:

输出


词典 ( 基本解释 详细解释 英文翻译 ) 控制论中的输出 游戏中的输出 ( 伤害输出 治疗输出 ) 计算机语言中的“输出” 词典 输出shū chū 基本解释 媒介由内部到外部的传递过程。 详细解释 1. 从内部送到外部。如:血液从心脏输出,经血管分布到全身组织。 2. 商品或资本从某一国销售或投放到国外。 3. 科学技术上指能量、信号等从某种机 详情>>

DVI输出

DVI是数字信号输出VGA是模拟信号输出其实原理上说DVI比VGA清晰是肯定的但是说提高很多倒不一定(起码只是体现在民用领域),其实数字信号输出的优势体现在“它是可控性的”,不用转换直接输出为啥战斗机操纵系统都要用模拟电传该进成数字电传?因为可控,电脑系统可以对其信号进行处理、改进数字电视为啥要代替模拟电视?原理是一样的不过老实说,VGA是显示精确度过DVI!不过那是在显示器非常优秀显卡非常优秀的 详情>>

DVI 输出


GZD-A1-X显示电流输出光照度传感器

度传感器产品介绍产品介绍GZD系统光照度变送器采用对弱光也有较高灵敏度的硅兰光伏探测器作为传感器;具有测量范围宽、线形度好、防水性能好、使用方便、便于安装、传输距离远等特点,适用于各种场所,尤其适用于农业大棚、城市照明等场所。根据不同的测量场所,配合不同的量程,线性度好、防水性能好、可靠性高、结构美观、安装使用方便、抗干扰能力强。使用标准1个单位的照度大约为1个烛光在1米距离的光亮度。夏日晴天强光 详情>>

GZD-A GZD 显示 电流 输出 光照度 光照 照度 传感器 传感 感器


JBF-3141输入输出模块

特点技术指标安装与接线应用图例特点1、内置微处理器CPU;2、采用SMT表面贴装工艺;3、电子编码方式,可通过专用电子编码器编址;4、二总线,无极性,功耗低,最远传输距离1500m,导线截面积为1.0-1.5mm2,对导线无特殊要求;5、插拔式结构,可像安装一样先将底座安装在墙上,布线后工程调试前再将输出模块插入底座;技术指标1、工作电压:19-24V (控制器提供,调制型)2、工作温度:-10… 详情>>

JBF 3141 输入 输出 模块


mRNA输出蛋白

详情>>

mRNA 输出 蛋白


RCA前置输出

RCA前置输出:此音频信号的电压高低对加装外置功放后的控制及音色很重要,其电压值越高越好。 详情>>

RCA 前置 输出


RCA输入输出

RCA输入输出是车载功放最主要的音频输入和输出接口,当然还有其他接线柱等接口。车载功放为了最求更好的效果,对接口也会做专门处理,比如使用大螺旋接线柱,或者使用镀金端口以减少信号噪声。RCA是莲花插座的英文简称,它并不是专门为哪一种接口设计,既可以用在音频,又可以用在普通的视频信号,也是DVD分量(YCrCb)的插座,只不过数量是三个。这是目前为止最为常见的一种音/视频接线端子,这种双线连接方式的端 详情>>

RCA 输入 输出


RNA输出

详情>>

RNA 输出


TX3213型输出模块

概述技术特性结构特征与工作原理概述TX3213输出模块(以下简称模块),用于总线制消防广播系统中消防广播间的切换控制。特点(1)有消防广播传输线故障检测功能,广播线路短路断路时模块向控制器发送故障信号;(2)电子编码方式,可由电子编码器直接编址,工程调试简便可靠;(3)一体化的结构设计,接触可靠、便于施工。技术特性(1)工作电压:总线电压:总线24V允许范围:16V~28V电源电压:DC24V允许 详情>>

TX 3213 输出 模块


报警输出

是指该二次仪表内部带有继电器功能,可以进行继电输出,也就是指该仪表的常开或常闭接点。在测量值高于或低于设定值后,该接点发生变化,用于报警或控制其他电气设备。是很常用的端子!(接中间继电器后,可以控制大型设备)。RX4000B无纸记录仪具有12路报警输出功能。 详情>>

报警 输出


变送输出

变送输出:是将一次仪表输入的信号(通常是传感器、检测装置)转换成标准的电流信号(0-20mA或者4-20mA)或电压信号(0-5V或者0-10V)供显示仪表或者DCS/PLC采集用!通常现场温度信号如PT100或热电偶不能实现长距离传输,如果把信号由PT100或热电偶变送为4-20mA信号可以实现较长距离传输,RX4000B无纸记录仪最多可实现4路变送输出功能。 详情>>

变送 输出


标准输入输出

1.标准输入与输出执行一个shell命令行时通常会自动打开三个标准文件,即标准输入文件(stdin),通常对应终端的键盘;标准输出文件(stdout)和标准错误输出文件(stderr),这两个文件都对应终端的屏幕。进程将从标准输入文件中得到输入数据,将正常输出数据输出到标准输出文件,而将错误信息送到标准错误文件中。以cat命令为例,cat命令的功能是从命令行给出的文件中读取数据,并将这些数据直接送 详情>>

标准 输入 输出


传声器输出阻抗

详情>>

传声器 传声 声器 输出 阻抗


从输入到输出:第二语言习得教师手册

基本信息内容简介作者简介目录基本信息作者:BillVanPatten出版社:世界图书出版公司;第1版(2007年12月1日)丛书名:西方语言学与应用语言学视野第二语言习得前沿书系平装:262页正文语种:简体中文,英语开本:16ISBN:9787506291507条形码:9787506291507产品尺寸及重量:25.8x18x1.2cm;322gASIN:B001338FW8内容简介《从输入到输出 详情>>

输入 输出 第二 语言 习得 教师 手册


大学英语输出教学论

基本信息内容简介基本信息作者:王荣英著出版社:上海交通大学出版社ISBN:9787313052551出版时间:2008-07-01版 次:1页 数:267装 帧:平装开 本:16开所属分类:图书>教育与考试>教学理论内容简介《大学英语输出教学论》以语言输出假设为中心,从学习心理学和认知心理学各层面,包括输入假设、图式理论、内隐学习、交互假设等,对大学英语教学进行了深入的理论探析,将理论 详情>>

大学英语 大学 学英 英语 输出 教学


输出量性心力衰竭

医学名词定义(中文名称:英文名称:定义:)临床表现:应急处理:医学名词定义中文名称:低输出量性心力衰竭英文名称:Low-outputheartfailure定义:心衰时心输出量低于正常,常见于冠心病、高血压病、心瓣膜病、心肌炎等引起的心力衰竭。临床表现:急性左心室心力衰竭表现为气促、夜间阵发性呼吸困难、端坐呼吸及紫绀、咳嗽、咯粉红色泡沫状血痰等。患者有高血压、冠心病或主动脉瓣关闭不全等引起的心脏疾 详情>>

输出 性心 衰竭


地图输出

详情>>

地图 输出


电量输出测量变换器

详情>>

电量 输出 测量 变换器 变换 换器


动力输出装置

Power一Take一off动力输出装置,又叫做取力器,是将发动机的动力向汽车行驶系以外的设备输出的装置。在专用汽车上,一般都有一些专用的设备。例如,自卸汽车的自卸机构、起重举升汽车的起重机构、液罐汽车的泵、冷藏汽车的制冷设备等等,都需要发动机的动力来驱动。最常见的办法就是在变速器的侧面,开一个窗口,连接一个齿轮式的动力输出装置,将动力输出。动力输出装置,按其输出动力的转速来分,有单速、双速和三速 详情>>

动力 输出 装置


对称输出

详情>>

对称 输出


输出口的移动电源

一个移动电源有多个输出接口,可以同时给多个数码产品充电,比较常见的有2个,3个或4个输出口,可以同时给2部,3部,4部数码产品充电.2个USB输出口的有 详情>>

输出 口的 移动 电源


额定输出功率

额定电压与额定电流的乘积就是电器的额定功率。额定输出功率就是正常条件下,最大的输出功率(可长期工作)。如果是家电产品,电学上的,额定功率=额定输入功率=额定输出功率+正常条件下电器本身的损耗。如果是电源产品,则额定功率多半是指额定输出功率。输出功率不等于额定输出功率,部分电器在一定条件下,还可以超负荷运行,以牺牲寿命换取短期的峰值输出。在已知电压大小的情况下,根据额定输入或输出功率,我们就可以得出 详情>>

额定 输出 功率


非对称输出

详情>>

对称 输出


浮动盘输出机构

详情>>

浮动 输出 机构


输出荧光灯

highoutputfluorescentlamp单位长度上功率负荷大(约50w/m,额定电流为0.8~1.0A),光通量高的荧光灯。 详情>>

输出 荧光灯 荧光 光灯


管理输出

所谓管理输出,此处特指外部管理咨询团队接管某委托企业的部分或全部经营管理权,企业所有权和产权性质不变,有该管理咨询团队按照与委托企业确定的协议条件行使经营管理权,并完成双方协议中确定的委托期间的经营管理目标。该外部管理咨询团队因输出了人力、智力和管理,以及使用了企业的声誉和资质等无形资本而从委托方处获得收益。一般来说,托管是指较全面地接管委托企业的经营管理职能,属于管理输出的一种。此种管理咨询的经 详情>>

管理 输出


光纤数字输入/输出

如果你还拥有一个数字设备,你就得确保你所选择的音频接口带有兼容的输入/输出,这样你的录音才能从一个设备轻易地传输到另一个上去。对于那些还没有尝试过这种做法的用户来说,和模拟比起来,数字传输有两大优势。首先,数字信息从源设备传输到目标设备都是以“0”和“1”的形式传输的,它到达的时候与源信号是一模一样的,模拟电路不会增加任何噪声或失真。第二,也不需要设置电平来避免剪切或噪声——你只需传输数据就可以了 详情>>

光纤 数字 输入 输出


光栅输出

光栅输出光栅图形的输出是指光栅图形函数对以位图形式存储的数据进行操作,它包括各种位图和图标的输出。在屏幕上表现为对若干行和列的像素的操作,在打印机上则是若干行和列的点阵的输出。光栅图形输出的优点是速度很快,它是直接从内存到显存的拷贝操作。缺点是需要额外的内存空间。Windows在绘制界面时使用了大量的光栅输出。 详情>>

光栅 输出


输出蛋白

详情>>

输出 蛋白


输出受体

详情>>

输出 受体


会声会影X3中文版:视频编辑特效制作与多格式输出从入门到精通

图书信息内容简介图书目录图书信息书名:会声会影X3中文版:视频编辑特效制作与多格式输出从入门到精通作 者:创锐设计出版社:科学出版社出版时间:2011年1月1日ISBN:9787030292599开本:16开定价:49.80元内容简介《会声会影X3中文版:视频编辑特效制作与多格式输出从入门到精通》针对会声会影视频编辑所必需的应用需求,结合读者的学习习惯和思维模式,编排、整理了知识体系;又借举杂志的 详情>>

会声会影 会声 声会 会影 X3 中文版 中文 文版 视频 编辑 特效 制作 与多 格式 输出 入门 精通


激光器输出特性

详情>>

激光器 激光 光器 输出 特性


计算机输出缩微片

介绍比较分部第一台介绍将计算机输出的二进制编码数据转换成人可读缩微影像,记录在感光胶片上的技术,简称COM。其中M的含义现已延伸到缩微拍摄装置、缩微化、缩微平片、缩微品等,故COM已成为一种与计算机输出缩微品化有关的技术总称。通常COM用来替代计算机的传统打印机。比较打印机的打印速度远远低于计算机产生数据的速度,而且需耗用大量的纸张,检索又不方便。而COM每秒钟可输出12万字符,比打印机的打印速度 详情>>

计算机 计算 算机 输出 缩微


净电功率输出

详情>>

电功率 电功 功率 输出


劳务输出英语

基本信息作者:胡红云著出版社:浙江大学出版社ISBN:9787308024631出版时间:2000-08-01版 次:1页 数:262装 帧:平装开 本:32开所属分类:图书>外语学习>职业/行业英语内容简介本教材是根据各地劳动部门短期出国培训的需要,并结合境外就业特点编写的。 《劳务输出英语》根据境外工作、生活的常用会话需要,结合不同场景,以对话的形式进行会话模仿,并附以相关例句,能 详情>>

劳务输出 劳务 务输 输出 英语


利益输出

比方讲甲即客户公司当年或某阶段收益较好,为了分配和控制利润,选择利用广告公司利益输出,先虚拟广告协议,再进行费用支出即广告费,而广告公司没有做具体业务而受到广告收入,广告公司为客户开具发票,客户公司凭发票将利润支出,再从广告公司把扣除税费等的广告费取会支配即可!反之也可。 详情>>

利益 输出


零齿差输出机构

详情>>

零齿 齿差 输出 机构


输出基线

详情>>

输出 基线


留学生汉语输出学习策略研究

图书信息内容简介作者简介图书目录图书信息书名:留学生汉语输出学习策略研究作 者:钱玉莲出版社:世界图书出版公司出版时间:2009年12月ISBN:9787510011603开本:16开定价:26.00元内容简介《留学生汉语输出学习策略研究》内容简介:中国对外汉语教学界关于汉语作为第二语言学习策略的研究起步较晚,成果也较少,笔者所看到的相关论文仅数十篇而已,这跟中国对外汉语教学半个世纪的历史及目前汉 详情>>

留学生 留学 学生 汉语 输出 学习 策略 研究


麦谷大学生人才输出标准体系

MTE(ManangoodTalentExport)人才输出标准,即麦谷大学生人才输出标准是由麦谷网联合国内各大高校与企业研究制订的一套适合满足企业人才需求与学校培养符合企业人才需求的人才培养与输出标准,从学生一进入学校就开始有目的的进行多个能力维度的引导培养,使其毕业后满足企业与社会的需求。1、大学生素质积累与就业服务人才标准体系初始化设定素质积累标准与个人能力标准,开放各个端口以供多方接入。2 详情>>

麦谷 大学生 大学 学生 人才 输出 标准 体系


脉冲平均输出功率

详情>>

脉冲 平均 输出 功率


脉冲输出

脉冲输出脉冲输出指令(PLS)检测为脉冲输出(Q0.0或Q0.1)设置的特殊存储器位然后激活由特殊存储器位定义的脉冲操作操作数Q常数(0或1)数据类型字脉冲输出范围Q0.0到Q0.1 详情>>

脉冲 输出


脉冲输出能量

详情>>

脉冲 输出 能量


美国民主制度输出

图书信息内容简介目录图书信息出版社:社会科学文献出版社;第1版(2006年8月1日)丛书名:世界社会主义研究丛书/研究系列平装:339页开本:16开ISBN:7802301572条形码:9787802301573尺寸:23.9x16.5x1.6cm重量:540g内容简介本书从一个独特的视角——文化和价值观的角度,指出当前世界上发生的所有矛盾和冲突几乎都与美国的民主制度输出有直接或间接的关系。认清了 详情>>

美国 民主 制度 输出


美国输出民主的历史与现实

图书信息图书目录图书信息作 者:罗艳华等著出版社:世界知识出版社出版时间:2009-4-1版 次:1页 数:417字 数:410000印刷时间:2009-4-1开 本:大32开纸 张:胶版纸印 次:1ISBN:9787501235254图书目录导论第一节 基本概念辨析一、关于民主的争论二、关于“美国式民主”三、关于美国“输出民主”第二节美国输出民主在冷战后的新发展一、冷战后美国输出民主的新特点二、 详情>>

美国 输出 民主 历史 现实


模拟量输出元件

详情>>

模拟 输出 元件


模拟视频输入输出

通过模拟视频线路,可以直接被带有复合视频输入/输出端口的播放器所识别,那么连接数码摄像机端口的就是视频输入/出端口。以电视机为例,因为一般的电视机,只接收模拟信号,而存放在DV带上的信号为数字信号,两者本来不兼容。但是经过数码摄像机的模拟视频输出/输入端口,可以直接把DV带上的内容在电视上播放,这个端口就是模拟视频输出,这个过程就叫做模拟信号输出过程。相反,以信号线把电视和数码摄像机连接起来,数码 详情>>

模拟 视频 输入 输出


模式输出统计预报

详情>>

模式 输出 统计 预报


农业拖拉机动力输出皮带轮圆周速度和宽度

基本信息内容简介基本信息作 者:本社编出版社:中国标准出版社出版时间:2007-9-1版 次:1页 数:1字 数:2000印刷时间:2007-9-1开 本:纸 张:胶版纸印 次:ISBN:GB/T2778-2007包 装:平装内容简介本标准是对GB/T2778—1992((农林拖拉机动力输出皮带轮圆周速度和宽度》的修订,修订时除作了编辑性修改外,本标准与GB/T2778—1992相比主要技术内容有 详情>>

农业 拖拉机 拖拉 拉机 动力 输出 皮带轮 皮带 带轮 圆周 速度 宽度


配电输出

是指二次仪表带有向传感器、低压显示仪供电的功能!一般是固定电压输出,比较常见是直流24V输出和直流12V输出!RX4000B无纸记录仪具有配电输出功能。 详情>>

配电 输出


平衡线输出

平衡输出用的是XLR三脚端子。脚1是接地的,脚2和脚3分别传送正负信号,其中美规为2正3负而欧规是2负3正。由于平衡输出的正负信号是分开传输的而且有接地,因此抗干扰能力很强,多用于专业领域。在HIFI领域则不一定比非平衡输出好。非平衡输出通常用RCA端子,是将正相和负相信号一起传送,一般是RCA插头中心是正相外面一周是负相。 详情>>

平衡 输出


钳位输出

将某点的电位输出限制在规定的电位以下的输出,叫做钳位输出,实施这个措施的电路叫做钳位电路。 详情>>

钳位 输出


强制输出

强制输出:在程序编程中,IO口一般都可以做来输入输出的。而有些数据要其在让其执行时必须去执行,所以让其强制性的输出,这时的IO口要求只能做一件事情的。 详情>>

强制 输出


燃气轮机半基本负荷额定输出功率

详情>>

燃气轮机 燃气 气轮 轮机 基本 负荷 额定 输出 功率


燃气轮机备用尖峰负荷额定输出功率

详情>>

燃气轮机 燃气 气轮 轮机 备用 尖峰 负荷 额定 输出 功率


燃气轮机标准额定输出功率

详情>>

燃气轮机 燃气 气轮 轮机 标准 额定 输出 功率


燃气轮机额定输出功率

详情>>

燃气轮机 燃气 气轮 轮机 额定 输出 功率


燃气轮机基本负荷额定输出功率

详情>>

燃气轮机 燃气 气轮 轮机 基本 负荷 额定 输出 功率


燃气轮机尖峰负荷额定输出功率

详情>>

燃气轮机 燃气 气轮 轮机 尖峰 负荷 额定 输出 功率


燃气轮机输出功率限制

详情>>

燃气轮机 燃气 气轮 轮机 输出 功率 限制


燃气轮机输出功率性能图

详情>>

燃气轮机 燃气 气轮 轮机 输出 功率 性能


燃气轮机现场额定输出功率

详情>>

燃气轮机 燃气 气轮 轮机 现场 额定 输出 功率


燃气轮机修正输出功率

详情>>

燃气轮机 燃气 气轮 轮机 修正 输出 功率


神经和肌肉刺激器输出特性的测量

图书信息内容简介图书信息书名:神经和肌肉刺激器输出特性的测量作 者:本社 编出版社:中国标准出版社出版时间:2009-1-1版 次:1页 数:5字 数:10000印刷时间:2009-1-1开 本:大16开纸 张:胶版纸印 次:1包 装:平装22564565内容简介为配合YY0607--2007《医用电气设备第2—10部分:神经和肌肉刺激器安全专用要求》标准的实施,本标准旨在统一YY0607—200 详情>>

神经 肌肉 刺激器 刺激 激器 输出 特性 测量


十字滑块输出机构

详情>>

十字 滑块 输出 机构


矢量图形输出

矢量图形输出指的是创建线条和填充图形,包括点、直线、曲线、多边形、扇形和矩形的绘制。 详情>>

矢量 图形 输出


输出

当PE文件被执行的时候,windows装载器将文件装入内存并将输入表中登记的DLL文件一并装入,再根据DLL文件中的函数输出信息对被执行文件的IAT表进行修正。在这些包含输出函数的DLL文件中,输出信息被保存在输出表中,通过输出表,DLL文件向系统提供输出函数的名称,序号和入口地址等信息。以便windows装载器通过这些信息来完成动态链接的过程。一般来说,exe文件不存在输出表,DLL文件大部分都 详情>>

输出


输出

outputlayer在系统输出端出现的表征被控系统运动状态的部分。系统运动状态的变化表现为被控变量的变化。被控变量的变化状态在系统外部的表现称为系统的响应或系统的行为。被控变量变化状态的表露点,称输出端。也即输出层。被控变量作用方向是从系统指向外部。例如一个生产系统向社会上输出产品,整个输出产品部分即为输出层。 详情>>

输出


输出蛋白

输出蛋白(exportin)存在于细胞核中识别并与输出信号结合的蛋白质,帮助核内物质通过核孔复合物输出到细胞质,而后快速通过核孔复合物回到细胞核。 详情>>

输出 蛋白


输出电压

输出电压有两个含义:1.不带负载的时候静输出,就是电路两端,开路压差2.是带负载的时候输出两端的压差两个不一样输出值也不相同 详情>>

输出 电压


输出分辨率转换

在Razer鼠标说明书中出现这一称呼。原文解释是:您可以通过启用机械dpi开关在450、1800、3500dpi之间转换 详情>>

输出 分辨率 分辨 辨率 转换


输出构件

详情>>

输出 构件


输出

输出管efferentduct,efferentcanal从某器官将其分泌物、血液、体液、水等运出的管的总称。为输入管的反义词。出鳃血管就是一例。从海绵动物的鞭毛室将水送到胃腔也是一例,但此时特称之为流出沟。另外将输送腺体分泌物的管称为输送管或是导管(excretoryduct)。 详情>>

输出


输出过载能力

输出过载能力是指在市电异常或负载异常时,UPS的输出稳定程度。过载能力是UPS的关键,因为在正常运行时一般都不会出问题。最需要UPS显示能力的时候是在市电异常和负载异常时,因为在市电和负载正常时,UPS只是一个稳压器和滤波器的作用,而这个功能用一台廉价的交流稳压器就完全可以实现。因此,如果UPS只起这么一点作用那就不值得了。所以要抓住UPS主要功能,市电异常时UPS要能不间断的接续上去,负载异常时 详情>>

输出 过载 能力


输出环境

详情>>

输出 环境


输出机构

详情>>

输出 机构


输出

输出集是控制论中的一个概念,是指系统的输出过程中物质、能量、信息输出的构成,它包括输入的品种、数量、流通时间、流通速度、流通方式等。对任何一个系统来说,欲提高其功效,都存在提高输出集功效问题。合理的输出集使系统的功效高倍放大。对个人来说,对个人来说,输出应该是以其“创造性思维”为社会作贡献,那就是各人在自己的工作岗位上做好各自的本职工作,体现人生的价值。对一个企业来说,输出的是质优价廉的产品和服务 详情>>

输出


输出淋巴管

详情>>

输出 淋巴管 淋巴 巴管


输出

输出率是一个逻辑恒等式如果PQR表示两个命题变元(P∧Q→R)恒等于(P→(Q→R))应用时用命题变元替换PQR(代入规则)也可用(P∧Q→R)和(P→(Q→R))在逻辑表达式用相互替换(替换规则) 详情>>

输出


输出能量滤波

输出能量滤波(outputenergyfiltering)是最佳滤波的一种,最佳的条件是要选择合适的滤波特性,使信号的输出能量(专指信号的自相关函数)为最大,干扰的输出能量为最小。与匹配滤波的差别只是求信噪比所用的参数不同,输出能量滤波需要的是输入信号的自相关函数而不必知道信号本身,是从地震记录中可以求取的,所以也可以应用于炸药震源。 详情>>

输出 能量 滤波


输出能量稳定度

详情>>

输出 能量 稳定


输出绕组

详情>>

输出 绕组


输出色阶

输出色阶决定输入色阶的范围。但一般不调节输出色阶。某些时候偶尔用到将图像整体变亮和变暗时要用到输出色阶,图像调色一般用输入色阶。输入色阶与输出色阶保存持对应(映射)的关系。 详情>>

输出 色阶


输出矢量

单元测试时,在特定测试的步骤下所有输出点的逻辑值、期望值以及测量值。 详情>>

输出 矢量


输出转矩

详情>>

输出 转矩


输出阻抗特性

详情>>

输出 阻抗 特性


输出襻梗阻

胃癌手术早期并发症,临床表现为上腹饱胀,呕吐食物和胆汁。系胃大部切除术后胃肠吻合口下端输出襻因粘连、大网膜水肿、炎性肿块压迫所致的梗阻。 详情>>

输出 梗阻


输入输出点接入率

详情>>

输入 输出 接入


输入输出点完好率

详情>>

输入 输出 完好率 完好 好率


输入输出设计

一、输入输出设计的意义输入输出设计是管理信息系统与用户的界面,一般而言,输入输出设计对于系统开发人员并不重要,但对用户来说,却显得尤为重要。1、它是一个组织系统形象(CooperationIdentifySystem,CIS)的具体体现;2、它能够为用户建立良好的工作环境,激发用户努力学习、主动工作的热情;3、符合用户习惯,方便用户操作,使目标系统易于为用户所接受。4、为用户提供易读易懂的信息形态 详情>>

输入 输出 设计


输入与输出在程序性知识建构中的作用

图书信息内容简介图书目录图书信息书名:输入与输出在程序性知识建构中的作用作 者:顾琦一出版社:外语教学与研究出版社出版时间:2009年12月ISBN:9787560091617开本:16开定价:49.90元内容简介《输入与输出在程序性知识建构中的作用》主要内容简介:本研究旨在探索教学环境下成人外语学习过程中,输入与输出对语言能力发展的作用。研究者着重从理论视角对外语习得进行探讨,运用安德森(And 详情>>

输入 输出 程序 性知识 性知 知识 建构 中的 作用


四川省三台县建安劳务输出有限责任公司

四川省三台县建安劳务输出有限责任公司于2002年6月26日在绵阳三台县潼川镇东河路120号注册成立,(行政区号510722,邮政编码621100),公司成立之初主要经营建筑业劳务输出,劳务分包,钢筋,混凝土,模板,脚手架,砌筑,抹灰,木工,焊接,油漆,水暖电安装作业,注册员工人数为1120人,注册资本150万 详情>>

四川省 四川 川省 三台县 三台 台县 建安 劳务输出 劳务 务输 输出 有限责任 有限 限责 责任 公司


通风机静压输出功率

详情>>

通风机 通风 风机 静压 输出 功率


透平输出功率

详情>>

透平 输出 功率


图形输出

图形输出是计算机辅助制图中,将计算机处理的数字信息转换为图形输出的过程。图形包括地图或各种图表,并因采用输出设备的不同,而获得不同形式的地图或图表。如多色线划图、彩色地图、晕渲地图、格网地图、行式打印机符号地图等。通常有联机和脱机两种图形输出方式,它们可按不同要求在胶片、图纸和刻图膜上输出图形。此外还可由屏幕显示后,通过激光扫描输出图形。 详情>>

图形 输出


图形输入输出装置

数值与图形、图像的变换装置,是重要的人机接口设备。人的感觉器官中单位时间接受信息量最多的是视觉器官,它的最主要的功能是图形和图像识别。现代的信息处理装置以数值处理为主。图形输入输出装置是实现数值与图形、图像变换的重要设备。图形输入装置一般分为坐标输入装置和图像输入装置两类,在自动化仪表中广泛采用的是坐标输入装置。①数码转换器 将需要处理的图形置于平板上,把读出的坐标点(x,y)以数字量的方式输入计 详情>>

图形 输入 输出 装置


土壤输出

详情>>

土壤 输出


推挽式输出

简介原理简介推挽式,原文是push-pull最早用于音频放大器,可以提高输出功率,提高效率,一般有输出变压器,两支电子管在交流是串联,在直流是并联。后来为去掉输出变压器,出现一种电路,两管交流并联,直流串联。因交流并联,故负载阻抗较低,可以去掉输出变压器。这种电路也称无变压器电路,简写OTL电路。晶体管出现后,电子管逐渐被晶体管取代,不过这两种电路仍被使用。用晶体管时,可以用正负电源,于是构成无输 详情>>

推挽 挽式 输出


蛙心输出量测定系统

FCO-1型蛙心输出量测定系统概述主要特点性能指标实验步骤(主要实验步骤如下:注意事项:)仪器清洁与保养FCO-1型蛙心输出量测定系统概述离体心脏灌流是研究心脏功能的重要手段之一,广泛应用于医学、生物学、药学等教学和科研工作中。FCO-1蛙心输出量测定系统是成都泰盟科技有限公司针对当前医学院校及科研机构的机能学实验自主研发的离体蛙心灌流系统,可用于青蛙、蟾蜍等蛙类动物离体心脏灌流实验,能够使离体心 详情>>

蛙心 输出 测定 系统


万向联轴器输出机构

详情>>

万向 联轴器 联轴 轴器 输出 机构


网页判断输出

什么是网页判断输出网页判断输出有何应用(在判断访问客户端的应用在SEO领域的应用)什么是网页判断输出网页判断输出其实就在用户身份鉴别上的应用,它可以根据不同的用户身份输出不同的网页结果。这项技术在早期应用在各种程序当中,例如分级会员,不同级别的会员看到某个网页的结果是不同的。不过这技术已经由单纯判断用户级别(程序内部用户身份)扩展到判断各种身份的用户,已经能够识别通过各种方式访问网页的用户。网页判 详情>>

网页 判断 输出