当前位置:在线查询网 > 在线百科全书查询 > “FPGA”查询结果

“FPGA”查询结果_在线百科全书查询


请输入要查询的词条内容:

FPGA


FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA简介 ( 背景 CPLD与FPGA的关系 ) FPGA工作原理 FPGA的电源 详情>>

Altera FPGA

AlteraFPGA产品AlteraFPGA分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Cyclone,CycloneII;还有一种侧重于高性能应用,容量大,性能能满足各类高端应用,如Stratix,StratixII等,用户可以根据自己实际应用要求进行选择。在性能可以满足的情况下,优先选择低成本器件。AlteraFPGA结合带有软件工具的可编程逻辑技术、知识产权( 详情>>

Altera FPGA


Altera FPGA/CPLD设计

图书信息内容简介图书目录图书信息书名:AlteraFPGA/CPLD设计作 者:EDA先锋工作室出版社:人民邮电出版社出版时间:2011年2月1日开本:16开定价:49.00元内容简介《AlteraFPGA/CPLD设计(高级篇)(第2版)》结合作者多年工作经验,深入地讨论了AlteraFPGA/CPLD的设计和优化技巧。在讨论FPGA/CPLD设计指导原则的基础上,介绍了Altera器件的高级应 详情>>

Altera FPGA CPLD 设计


Altera FPGA/CPLD设计(基础篇)(第2版)

基本信息定价:¥45.00元作者:EDA先锋工作室,王诚,蔡海宁,吴继华编著出版社:人民邮电出版社出版日期:ISBN:9787115246707字数:446000页码:版次:1内容提要本书结合作者多年工作经验,系统地介绍了FPGA/CPLD的基本设计方法。在介绍FPGA/CPLD概念的基础上,介绍了Altera主流FPGA/CPLD的结构与特点,并通过丰富的实例讲解QuartusII与ModelS 详情>>

Altera FPGA CPLD 设计 基础


Altera FPGA\CPLD设计

图书信息作者简介内容简介目录图书信息出版社:人民邮电出版社;第1版(2005年7月1日)丛书名:基础篇Altera公司推荐FPGA\\CPLD培训教材平装:318页开本:16开ISBN:7115134995条形码:9787115134998尺寸:26x18.6x1.4cm重量:726g作者简介王诚,任职于EDA先锋工作室。内容简介《AlteraFPGA\\CPLD设计》结合作者多年工作经验,系统地 详情>>

Altera FPGA CPLD 设计


AVR单片机与CPLD\FPGA综合应用入门

AVR单片机与CPLD\\FPGA综合应用入门内容简介目录AVR单片机与CPLD\\FPGA综合应用入门作 者:黄任编著出版社:北京航天航空大学出版社出版时间:2004-8-1版 次:1页 数:201字 数:297000印刷时间:2004-8-1纸 张:胶版纸印 次:1ISBN:9787810775328包 装:平装内容简介本书系统论述了单片机与CPLD/FPGA之间的通讯和合作方法,并全面阐述了 详情>>

AVR 单片机 单片 片机 CPLD FPGA 综合 应用 入门


CPLD/FPGA技术应用

图书信息内容简介图书目录图书信息书名:CPLD/FPGA技术应用作 者:王芳出版社:电子工业出版社ISBN:9787121147630出版时间:2011年11月1日开本:16开定价:21.00元内容简介《CPLD/FPGA技术应用》采用教、学、练一体化教学模式,以提高实际工程应用能力为目的,将EDA技术基本知识、VHDL硬件描述语言、可编程逻辑器件、开发软件应用等相关知识贯穿于多个实际案例中,使读 详情>>

CPLD FPGA 技术应用 技术 术应 应用


CPLD/FPGA控制系统设计

基本信息内容简介目录基本信息作者:周京华丛书名:普通高等教育电气信息类规划教材出版社:机械工业出版社*ISBN:9787111310228上架时间:2011-4-19出版日期:2011年4月开本:16开页码:239版次:1-1内容简介《cpld/fpga控制系统设计》首先阐述了可编程逻辑器件的基本情况,接着介绍了vhdl硬件描述语言的相关知识,然后介绍了quartusii编译环境、可编程逻辑器件应 详情>>

CPLD FPGA 控制系统 控制 制系 系统 设计


CPLD/FPGA设计与应用高级教程

图书信息内容简介目录图书信息书名:CPLD/FPGA设计与应用高级教程作 者:郭利文,邓月明出版社:北京航空航天大学出版社出版时间:2011-1-1ISBN:9787512402461开本:16开定价:39.00元内容简介本书结合目前主流的cpld/fpga产品以及最流行的设计理念,系统、详细地介绍cpld/fpga的硬件结构、硬件描述语言与验证语言的基础应用以及高级应用;详细介绍如何使用veri 详情>>

CPLD FPGA 设计 应用 高级 教程


CPLD/FPGA与ASIC设计实践教程

图书信息内容简介图书目录图书信息书名:CPLD/FPGA与ASIC设计实践教程作 者:邹道胜出版社:科学出版社出版时间:2010年9月1日ISBN:9787030288301开本:16开定价:35.00元内容简介《CPLD/FPGA与ASIC设计实践教程(第2版)》以大规模可编程逻辑器件为基础,详细介绍了PLD、CPLD/FPGA器件的原理和开发技术。第1~3章介绍EDA技术和可编程逻辑器件的原理 详情>>

CPLD FPGA ASIC 设计 实践 教程


EDA技术与CPLD/FPGA开发应用简明教程

版权信息内容简介目录版权信息书名:EDA技术与CPLD/FPGA开发应用简明教程作 者:曹瑞出版社:清华大学出版社出版时间:2007ISBN:9787302156390开本:16定价:32.00元内容简介在信息技术高速发展的现代社会,电子系统的设计方法和设计手段已有了根本性的变化。可编程逻辑器件和EDA技术已广泛应用于通信、工业自动化、智能仪表、图像处理以及计算机等领域。因此,EDA技术是未来电子 详情>>

EDA 技术 CPLD FPGA 开发 应用 简明教程 简明 明教 教程


FPGA/ASIC高性能数字系统设计

图书信息内容简介图书目录图书信息书名:FPGA/ASIC高性能数字系统设计作 者:李洪革出版社:电子工业出版社出版时间:2011年1月1日ISBN:9787121120701开本:16开定价:36.00元内容简介《FPGA/ASIC高性能数字系统设计》是高,性能数字集成系统设计的基础教材,作者从硬件描述语言VerilogHDL描述入手,重点阐述了高性能集成化数字电路的电路结构,面积优化、时序优化、 详情>>

FPGA ASIC 高性能 高性 性能 数字 系统 设计


FPGA/CPLD系统设计与应用开发

图书信息内容简介目录图书信息作者:周淑阁出版社:电子工业出版社;第1版(2011年8月1日)其他:240页ISBN:9787121136238条形码:9787121136238产品尺寸及重量:25.8x18.2x1.6cm;440g内容简介本书共分4章。第1章是绪论;第2章讲述FPGA/CPLD的开发工具,主要是MAX+plusII和Quartus的使用方法;第3章讲述硬件描述语言,主要讲述VHD 详情>>

FPGA CPLD 系统 设计 应用开发 应用 用开 开发


FPGA/VHDL设计入门与进阶

图书信息内容简介图书目录图书信息书名:FPGA/VHDL设计入门与进阶作 者:杜勇出版社:机械工业出版社出版时间:2011年1月1日ISBN:9787111322085开本:16开定价:34.00元内容简介《FPGA/VHDL设计入门与进阶》是FPGA设计的入门级教材,根据初学者的习惯安排章节内容。《FPGA/VHDL设计入门与进阶》将开发工具与VHDL语言紧密结合起来介绍,便于读者尽快形成VHD 详情>>

FPGA VHDL 设计 入门 进阶


FPGA\CPLD系统设计与应用开发

参见:FPGA/CPLD系统设计与应用开发 详情>>

FPGA CPLD 系统 设计 应用开发 应用 用开 开发


FPGA技术入门与典型项目开发实例

基本信息内容简介编辑推荐目录基本信息作者:张晓飞(作者)出版社:化学工业出版社;第1版(2012年1月16日)平装:164页正文语种:简体中文开本:16ISBN:7122119246,9787122119247条形码:9787122119247商品尺寸:25.6x18x1cm商品重量:240g内容简介《FPGA技术入门与典型项目开发实例》面向FPGA的初学者,介绍了FPGA设计过程中的理论基础和工 详情>>

FPGA 技术 入门 典型 项目开发 项目 目开 开发 实例


FPGA嵌入式系统设计原理与实践

基本信息内容简介(目录前言)基本信息原书名:EmbeddedSystemsDesignwithPlatformFPGAs,PrinciplesandPractices原出版社:Elsevier作者:(美)RonSassAndrewG.Schmidt译者:李杨丛书名:移动与嵌入式开发技术出版社:清华大学出版社ISBN:9787302279693出版日期:2012年3月开本:16开页码:301版次:1 详情>>

FPGA 嵌入式 嵌入 入式 系统 设计 原理 实践


FPGA嵌入式应用系统开发典型实例

版权信息内容简介编辑推荐目录版权信息书名:FPGA嵌入式应用系统开发典型实例作 者:叶淦华出版社:中国电力出版社出版时间:2005ISBN:9787508338477开本:16定价:35.00元内容简介本书是优秀的FPGA应用系统开发实践指导书。全书针对目前应用广泛的FPGA技术,通过大量典型实例的形式,详细地介绍了FPGA应用系统开发的流程、技巧与实例。全书共包括9章,首先讲述了FPGA应用的原 详情>>

FPGA 嵌入式 嵌入 入式 应用系统 应用 用系 系统 开发 典型 实例


FPGA权威指南

基本信息内容简介目录基本信息作者:Clive"Max"Maxfield(作者),杜生海(译者)出版社:人民邮电出版社;第1版(2012年1月1日)丛书名:图灵电子与电气工程丛书平装:320页正文语种:简体中文开本:16ISBN:9787115267412条形码:9787115267412商品尺寸:25.8x18.2x1.6cm商品重量:522g内容简介《FPGA权威指南》是目前最实用的FPGA类图 详情>>

FPGA 权威 指南


FPGA上的嵌入式系统设计实例

版权信息内容简介目录版权信息书名:FPGA上的嵌入式系统设计实例作 者:赵峰出版社:西安电子科技大学出版社出版时间:2008ISBN:9787560619958开本:16定价:36.00元内容简介本书讲解了嵌入式系统的基本知识、定义、特点与组成;GNU工作链;嵌入式操作系统等。嵌入式系统是一门实践性极强的课程,本书在写作的过程中,弱化基本概念,而强调项目实践。本书可作为大专院校的实验教材,也可作为 详情>>

FPGA 上的 嵌入式 嵌入 入式 系统 设计 实例


FPGA设计基础

版权信息内容简介目录版权信息书名:FPGA设计基础作 者:王传新出版社:高等教育出版社出版时间:2007ISBN:9787040224832开本:16定价:20.90元内容简介《FPGA设计基础》以培养学生实际工程设计能力为目的,以FPGA工作原理为基础,以FPGA设计流程为主线,系统完整地介绍了当前在电子技术领域应用较广的FPGA设计软件QuartusⅡ6.0及其辅助工具MATLAB7.0、DS 详情>>

FPGA 设计 基础


FPGA设计实战

基本信息摘要目录基本信息书名:FPGA设计实战书号:978-7-115-20810-1原书名:DesignRecipesforFPGAs原出版社:Newnes丛书名:图灵电子与电气工程丛书分类:电子电气>>电力电子作者:PeterR.Wilson译者:杜生海等出版日期:2009-06-16语种:简体中文开本:16开页数:240摘要本书是为FPGA工程师量身定制的设计参考指南,不仅介绍了 详情>>

FPGA 设计 实战


FPGA实验实训教程

版权信息内容简介目录版权信息书名:FPGA实验实训教程作 者:张庆玲王晓银出版社:航空工业出版社出版时间:2008ISBN:9787802431669开本:16定价:18.00元内容简介本教程分为Max+plusⅡ和QuartusⅡ软件介绍、组合逻辑电路实验、时序逻辑电路实验、数字电路系统设计实验(高级实验)和实践训练项目等。本教程设置了较多的实验课题,包括很多实验项目,其内容和难易程度基本满足了 详情>>

FPGA 实验实训 实验 验实 实训 教程


FPGA数字逻辑设计教程·Verilog

图书信息作者简介内容简介目录图书信息出版社:电子工业出版社;第1版(2010年9月1日)外文书名:DigitalDesignUsingDigilentFPGABoards:Verilog/Active-HDLEdition平装:326页正文语种:简体中文开本:16ISBN:9787121118524条形码:9787121118524尺寸:25.8x18.2x1.6cm重量:581g作者简介作者:( 详情>>

FPGA 数字 逻辑 设计教程 设计 计教 教程 Verilog


FPGA数字信号处理设计教程

基本信息书名:FPGA数字信号处理设计教程原价:26元售价:18.20元折扣:70折作者:纪志成//高春能出版社:西安电子科大出版日期:2008-02-01ISBN:9787560619682字数:页码:194页版次:装帧:开本:16开编辑推荐随着系统向高速度、低功耗、低电压和多媒体、网络化、移动化方向的发展,数字信号处理对系统的要求越来越高,传统的基于DSP处理器芯片的数字信号处理系统技术难以满 详情>>

FPGA 数字 信号处理 信号 号处 处理 设计教程 设计 计教 教程


FPGA数字信号处理实现原理及方法

图书信息内容简介图书目录图书信息书名:FPGA数字信号处理实现原理及方法作 者:何宾出版社:清华大学出版社出版时间:2010-3-1ISBN:9787302215387开本:16开定价:39.00元内容简介本书全面而又系统地介绍了基于FPGA实现数字信号处理的原理及方法。全书包括12章和11个实验,主要内容包括数字信号处理设计导论、FPGA的硬件结构及运算功能、信号及其处理理论概述、CORDIC算 详情>>

FPGA 数字 信号处理 信号 号处 处理 实现 原理 方法


FPGA现代数字系统设计

图书信息内容简介图书目录图书信息书名:FPGA现代数字系统设计作 者:马建国,孟宪元出版社:清华大学出版社出版时间:2010-4-1ISBN:9787302215394开本:16开定价:43.00元内容简介由于电子产品设计技术趋向可编程的片上系统,本书以基础理论知识为纲,针对目前FPGA设计中的主流设计工具软件之一——Altera公司的QuartusⅡ,介绍了FPGA设计理论与设计方法。全书共8章 详情>>

FPGA 现代 数字 系统 设计


FPGA应用技术及实践

图书信息内容简介目录图书信息出版社:北京理工大学出版社;第1版(2011年1月1日)丛书名:面向“十二五”高等学校精品规划教材平装:297页正文语种:简体中文开本:16ISBN:9787564041045条形码:9787564041045尺寸:22.8x18.4x1.6cm重量:381g内容简介EDA是当今世界上最先进的电子电路设计技术,其重要作用逐步被我国的产业界、科技界和教育界认可。《FPGA 详情>>

FPGA 应用技术 应用 用技 技术 实践


FPGA与SOPC设计教程——DE2实践

参见:FPGA与SOPC设计教程:DE2实践 详情>>

FPGA SOPC 设计教程 设计 计教 教程 DE2 DE 实践


MATLAB及其在FPGA中的应用

基本信息内容简介基本信息作 者:王旭东,潘广桢著出版社:国防工业出版社ISBN:9787118042825出版时间:2006-01-01版 次:1页 数:250装 帧:平装开 本:16开所属分类:图书>计算机与互联网>专用软件内容简介本书紧密结合作者在FPGA设计领域中的实际经验,讲述了MATLAB的基本使用方法及其在FPGA设计中的应用。书中略去对MATLAB和FPGA的一般性功能介 详情>>

MATLAB 及其 FPGA 中的 应用


Verilog HDL与CPLD/FPGA项目开发教程

基本信息作者:聂章龙著张静译出版社:机械工业出版社ISBN:9787111313656出版时间:2010-09-01版 次:1页 数:218装 帧:平装开 本:16开所属分类:图书>教育与考试>高等教育内容简介《VerilogHDL与CPLD/FPGA项目开发教程》以Altera公司的MAXII系列EPMl270T144C:5N为蓝本阐述了基于CPLD/FPGA的数字系统设计方法,重点 详情>>

Verilog HDL CPLD FPGA 项目开发 项目 目开 开发 教程


VerilogHDL与CPLD/FPGA项目开发教程

参见:VerilogHDL与CPLD/FPGA项目开发教程 详情>>

VerilogHDL CPLD FPGA 项目开发 项目 目开 开发 教程


Xilinx FPGA

XilinxFPGA主要分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Spartan系列;还有一种侧重于高性能应用,容量大,性能能满足各类高端应用,如Virtex系列,用户可以根据自己实际应用要求进行选择。在性能可以满足的情况下,优先选择低成本器件。XilinxFPGA可编程逻辑解决方案缩短了电子设备制造商开发产品的时间并加快了产品面市的速度,从而减小了制造商的风险。 详情>>

Xilinx FPGA


Xilinx FPGA/CPLD设计手册

图书信息内容简介目录图书信息作者:王杰(作者),王诚(作者),谢龙汉(作者)出版社:人民邮电出版社;第1版(2011年6月1日)平装:377页开本:16开ISBN:7115246653,9787115246653条形码:9787115246653产品尺寸及重量:26.6x20x1.8cm;599g内容简介《XilinxFPGA/CPLD设计手册》从初学者的角度出发,以全新的视角、合理的布局系统地介 详情>>

Xilinx FPGA CPLD 设计 手册


Xilinx FPGA高级设计及应用

基本信息内容简介目录基本信息作者:汤琦蒋军敏丛书名:设计者的思想出版社:电子工业出版社ISBN:9787121166266出版日期:2012年4月开本:16开页码:284版次:1-1内容简介《xilinxfpga高级设计及应用》用简洁的语言向读者展示了fpga高质量和可靠性设计中必须掌握的概念、思想和设计方法,如fpga设计思想、高速数据传输系统设计、高级配置管理、空间应用可靠性设计、分区设计和高 详情>>

Xilinx FPGA 高级 设计 应用


Xilinx FPGA数字电路设计

图书信息内容简介图书目录图书信息书名:XilinxFPGA数字电路设计作 者:郑群星出版社:科学出版社ISBN:9787030326973出版时间:2012年1月1日开本:16开定价:85.00元内容简介《XilinxFPGA数字电路设计》以XilinxISE开发系统为开发环境,以范例执行的方式逐步介绍使用FPGA设计数字电路,并下载至FPGA开发板上验证。读者只要依照范例的操作步骤执行,一定可以 详情>>

Xilinx FPGA 数字 电路设计 电路 路设 设计


Xilinx ISE Design Suite 10.x FPGA开发指南

图书信息内容简介目录图书信息作 者:田耘徐文波胡彬等编著出版社:人民邮电出版社出版时间:2008-11-1字 数:版 次:1页 数:409印刷时间:2008-11-1开 本:16开印 次:1纸 张:胶版纸ISBN:9787115187369包 装:平装内容简介本书以XilinxFPGA逻辑开发流程为主线,以浅入深出、图文并茂的方式,全面、详细地介绍了Xilinx公司的终极开发套件ISEDesign 详情>>

Xilinx ISE Design Suite 10 FPGA 开发指南 开发 发指 指南


多媒体处理FPGA实现

图书信息内容简介图书目录图书信息书名:多媒体处理FPGA实现作 者:云创工作室王强出版社:电子工业出版社出版时间:2010年01月ISBN:9787121097768开本:16开定价:49.00元内容简介《多媒体处理FPGA实现:SystemGenerator篇》结合Xilinx公司ISEDesignSuite10.1的SystemGenerator和Mathworks公司MATLABR2007b 详情>>

多媒体 多媒 媒体 处理 FPGA 实现


基于FPGA的计算机体系结构实践教程

图书信息内容简介图书目录图书信息书名:基于FPGA的计算机体系结构实践教程作 者:杨军出版社:清华大学出版社ISBN:9787302261827出版时间:2012年1月1日开本:16开定价:23.00元内容简介这是针对计算机科学与技术专业的计算机组成与体系结构综合课程实验而设计编写的教材,以机器并行执行为主要特征来研究计算机系统的设计和实现。全书共分6章。第1章详细介绍计算机的组成、层次结构;第2 详情>>

基于 FPGA 计算机 计算 算机 体系结构 体系 系结 结构 实践 教程


基于FPGA的数字电路系统设计

图书信息内容简介图书目录图书信息书名:基于FPGA的数字电路系统设计作 者:崔葛瑾出版社:西安电子科技大学出版社出版时间:2008年07月ISBN:9787560620381开本:16开定价:21.00元内容简介本书的起点较低,便于自学,适合应用于本科、大专、高职以及中专等电子信息类专业的数字电子技术实验、实践课程、计算机专业的数字逻辑实验、实践课程以及非电类专业的电工电子实验课程的数字电路实验。 详情>>

基于 FPGA 数字 电路 系统 设计


基于FPGA的数字系统设计

图书信息内容简介目录图书信息出版社:西安电子科技大学出版社;第1版(2008年11月1日)丛书名:XILINX大学合作计划指定教材平装:300页正文语种:简体中文开本:16ISBN:9787560621333,7560621333条形码:9787560621333尺寸:25.6x18.2x1.8cm重量:499g内容简介《基于FPGA的数字系统设计》介绍了可编程逻辑器件的内容结构和工作原理、用于数 详情>>

基于 FPGA 数字 系统 设计


基于FPGA的数字信号处理

本书是一本有关如何在FPGA上实现数字信号处理的著作。以Xilinx高端FPGA作为开发平台,以数字信号处理理论为基础,结合当前的FPGA技术,深入探讨了基于FPGA实现各种数字信号处理算法的工程方法。本书将理论与实践相结合,给出了相应算法的硬件结构,并配有时序图,以帮助读者深入理解设计思路。书名:基于FPGA的数字信号处理作者:高亚军ISBN:9787121156274类别:通信理论页数:231 详情>>

基于 FPGA 数字 信号处理 信号 号处 处理


基于FPGA的系统设计

图书信息作者简介内容简介目录图书信息出版社:机械工业出版社;第1版(2005年9月1日)丛书名:经典原版书库平装:530页开本:16开ISBN:7111172671条形码:9787111172673尺寸:24.1x17x1.9cm重量:739g作者简介作者:(美国)沃尔夫WayneWolf普林斯顿大学计算机科学系电子工程联合学院教授,研究方向为嵌入式计算、多媒体系统、VLSI和计算机辅助设计。他是 详情>>

基于 FPGA 系统 设计


基于FPGA的硬件系统设计实验与实践教程

图书信息内容简介图书目录图书信息书名:基于FPGA的硬件系统设计实验与实践教程作 者:姚爱红出版社:清华大学出版社出版时间:2011年6月1日ISBN:9787302245377开本:16开定价:29.00元内容简介《基于FPGA的硬件系统设计实验与实践教程》介绍基于fpga的数字系统设计方法,在基本的功能部件设计基础上,设计微处理器及单芯片系统。《基于FPGA的硬件系统设计实验与实践教程》不仅介 详情>>

基于 FPGA 硬件 系统 设计 实验 实践 教程


基于FPGA和CPLD的数字系统设计

图书概况:(内容简介)图书目录试读部分章节图书概况:作 者:(爱尔兰)格劳特 著,黄以华 等译出版社:电子工业出版社出版时间:2009-2-1版 次:1页 数:462字 数:845000印刷时间:2009-2-1开 本:16开纸 张:胶版纸印 次:1ISBN:9787121083136包 装:平装内容简介本书系统地介绍了可编程逻辑器件类型、数字系统描述的硬件语言与设计方法,以及系统的测试和实现,从 详情>>

基于 FPGA CPLD 数字 系统 设计


基于NiosII内核的FPGA电路系统设计

参见:基于NIOSII内核的FPGA电路系统设计 详情>>

基于 NiosII 内核 FPGA 电路 系统 设计


基于Quartus II的FPGA/CPLD设计

基本信息内容简介目录基本信息作者:李洪伟丛书名:EDA工具应用丛书出版社:电子工业出版社ISBN:7121023873出版日期:2006年4月开本:16页码:281内容简介目前,cpld/fpga被广泛应用于家电、工控、通信、军工、航天等诸多领域。本书简单介绍了cpld/fpga的基本结构和原理。在此基础上,详细地介绍了fpga主要生产厂商altera公司的fpga器件,重点讲述了altera公司 详情>>

基于 Quartus II FPGA CPLD 设计


基于Quartus Ⅱ的FPGA/CPLD数字系统设计实例

图书简介:内容提要:图书目录:图书简介:作/译者:周润景图雅张丽敏出版社:电子工业出版社出版日期:2007年08月ISBN:9787121040917[十位:7121040913]页数:451重约:0.729KG定价:¥48.00旧书普通用户价:¥20.20为您节省:¥27.80旧书VIP用户价:¥18.10为您节省:¥29.90团购优惠价:¥17.20内容提要:本书介绍了使用QuartusⅡ7. 详情>>

基于 Quartus FPGA CPLD 数字 系统 设计 实例


基于Quartus2的FPGA/CPLD数字系统设计实例

参见:基于QuartusⅡ的FPGA/CPLD数字系统设计实例 详情>>

基于 Quartus2 Quartus FPGA CPLD 数字 系统 设计 实例


基于QuartusII的FPGA/CPLD设计与实践

图书信息内容简介图书目录图书信息书名:基于QuartusII的FPGA/CPLD设计与实践作 者:陈忠平,高金定,高见芳出版社:电子工业出版社出版时间:2010-4-1ISBN:9787121105371开本:16开定价:39.00元内容简介本书从实验、实践、实用的角度出发,通过丰富的范例讲述基于QuartusII9.0软件进行FPGA/CPLD应用产品的开发和应用。全书共6章,主要讲述了编程基础 详情>>

基于 QuartusII FPGA CPLD 设计 实践


基于QuartusII的FPGA/CPLD设计与应用

图书信息内容简介图书目录图书信息书名:基于QuartusII的FPGA/CPLD设计与应用作 者:赵艳华出版社:电子工业出版社出版时间:2009-9-1ISBN:9787121093227开本:16开定价:38.00元内容简介本书以提高读者实践操作能力和工程设计能力为目的,对EDA技术和FPGA/CPLD应用的相关知识进行了系统的介绍,内容包括EDA技术的基本知识,FPGA/CPLD的基本原理,Q 详情>>

基于 QuartusII FPGA CPLD 设计 应用


基于SRAM的FPGA容错技术

基本信息内容简介基本信息作 者:(巴西)卡斯腾斯密得,(巴西)卡罗,(巴西)赖斯著杨孟飞等译出版社:中国宇航出版社丛书名:航天科技图书出版基金ISBN:9787802186187出版时间:2009-12-01版 次:1页 数:188装 帧:精装开 本:大32开所属分类:图书>计算机与互联网>操作系统内容简介广泛应用于民用和工业领域的基于SRAM的FPGA,因其逻辑集成度高、使用方便、开 详情>>

基于 SRAM FPGA 容错 技术


基于VerilogHDL的FPGA设计与工程应用

图书信息内容简介图书目录图书信息书名:基于VerilogHDL的FPGA设计与工程应用作 者:徐洋李彦出版社:人民邮电出版社出版时间:2009年10月ISBN:9787115211323开本:16开定价:49.00元内容简介《基于VerilogHDL的FPGA设计与工程应用》结合作者多年工作经验,系统地介绍了FPGA的基本设计方法。在介绍FPGA/CPLD概念的基础上,《基于VerilogHDL的 详情>>

基于 VerilogHDL FPGA 设计 工程 应用


基于VHDL的CPLD/FPGA开发与应用

图书信息内容简介图书目录图书信息书名:基于VHDL的CPLD/FPGA开发与应用作 者:张丕状,李兆光出版社:国防工业出版社出版时间:2009-6-1ISBN:9787118064025开本:16开定价:30.00元内容简介本书以Xinlinx公司和Alter公司的CPLD/FPGA开发环境为基础,对VHDL硬件描述语言、CPLD/FPGA开发应用及相关知识做了系统和完整的介绍,使读者掌握CPLD 详情>>

基于 VHDL CPLD FPGA 开发 应用


基于VHDL的FPGA和Nios II实例精炼

图书信息内容简介图书目录图书信息书名:基于VHDL的FPGA和NiosII实例精炼作 者:刘福奇出版社:北京航空航天大学出版社出版时间:2011年3月1日开本:16开定价:46.00元内容简介QuartusⅡ软件的基本操作、VHDL语法介绍、FPGA设计实例和NiosⅡ设计实例。首先介绍了QuartusⅡ的基本操作,包括工程的新建、代码的编辑、原理图的设计、VHDL代码设计、仿真及FPGA配置文件 详情>>

基于 VHDL FPGA Nios II 实例 精炼


基于VHDL的FPGA开发快速入门·技巧·实例

基本信息内容简介目录基本信息作 者:罗力凡 等编著出版社:人民邮电出版社出版时间:2009-5-1版 次:1页 数:300字 数:471000印刷时间:2009-5-1开 本:16开纸 张:胶版纸印 次:1ISBN:9787115196859包 装:平装内容简介本书是一本专门介绍如何快速掌握使用VHDL语言开发FPGA的方法与技巧的图书。本书从最基本的VHDL硬件描述语言讲起,先是通过对VHDL概 详情>>

基于 VHDL FPGA 开发 快速 入门 技巧 实例


零基础学FPGA

图书信息内容简介图书目录图书信息书名:零基础学FPGA作 者:葛亚明出版社:机械工业出版社出版时间:2010年9月1日ISBN:9787111311201开本:16开定价:48.00元内容简介《零基础学FPGA:基于AlteraFPGA器件&VerilogHDL语言》以Altera公司的FPGA为样本,系统地介绍了FPGA的基本知识和相关软件的使用方法,重点讲述了VerilogHDL语言的 详情>>

基础 FPGA


深亚微米FPGA结构与CAD设计

基本信息内容简介目录前言基本信息作 者:(加)贝兹(Betz,V),(加)马夸特(Marquardt,A),(加)罗斯(Rose.J)著王伶俐,杨萌,周学功译出版社:电子工业出版社ISBN:9787121074554出版时间:2008-11-01版 次:1页 数:210装 帧:平装开 本:16开所属分类:图书>计算机与互联网>辅助设计与工程计算内容简介《深亚微米FPGA结构与CAD设计 详情>>

深亚微米 深亚 亚微 微米 FPGA 结构 CAD 设计


手把手教你学CPLD/FPGA与单片机联合设计

图书信息内容简介图书目录图书信息书名:手把手教你学CPLD/FPGA与单片机联合设计作 者:周兴华出版社:北京航空航天大学出版社出版时间:2010年11月1日ISBN:9787512402447开本:16开定价:39.00元内容简介作者从2009年1月起,在《电子世界》杂志上连载了《手把手教你学CPLD/FPGA设计》讲座。《手把手教你学CPLD/FPGA与单片机联合设计》以此为蓝本,另外增加了大 详情>>

手把手 手把 把手 教你 你学 CPLD FPGA 单片机 单片 片机 联合 设计


数字电路与FPGA

基本信息内容介绍目录基本信息作者:刘常澍/赵雅光出版社:人民邮电出版社出版日期:2004-08ISBN:711512522版次:1版包装:平装开本:16开页数:271页印张:1次所属分类:图书>计算机与网络>计算机系统结构>FPGA>(分类细分与勘误)内容介绍本书依据高等教育本科数字电路教学改革的思想编写,全书共8章,内容包括:数字逻辑基础、门电路、组合逻辑电路、触发器与波 详情>>

数字 电路 FPGA


数字逻辑原理与FPGA设计

图书信息内容简介图书目录图书信息书名:数字逻辑原理与FPGA设计作 者:刘昌华出版社:北京航空航天大学出版社出版时间:2009年09月ISBN:9787811248005开本:16开定价:39.00元内容简介《数字逻辑原理与FPGA设计》系统地介绍了数字逻辑的基本原理与FPGA设计的实际应用。主要内容包括:数字系统、数制与编码、逻辑代数基础、组合逻辑电路的分析与设计、时序逻辑电路的分析与设计、可编 详情>>

数字 逻辑 原理 FPGA 设计


数字信号处理FPGA电路设计

图书信息内容简介作者简介图书目录图书信息书名:数字信号处理FPGA电路设计作 者:施国勇出版社:高等教育出版社出版时间:2010年5月1日ISBN:9787040290745开本:16开定价:37.60元内容简介《数字信号处理FPGA电路设计》内容简介:由于大规模集成电路技术的飞速发展,一块FPGA芯片上能实现的逻辑功能大幅度增加,并进一步向嵌入式DSP模块和嵌入式处理器核技术发展,由此带来的结果 详情>>

数字 信号处理 信号 号处 处理 FPGA 电路设计 电路 路设 设计


数字信号处理的FPGA实现

内容简介目录内容简介本书比较全面地阐述了fpga在数字信号处理中的应用问题。本书共分8章,主要内容包括典型fpga器件的介绍、vhdl硬件描述语言、fpga设计中常用软件简介、用fpga实现数字信号处理的数据规划、多种结构类型的fir数字滤波器的fpga实现、不同结构fft的fpga实现、数字正交下变频的fpga实现、cordic和dds的fpga实现等。本书紧密贴合工程实践,以一个fpga设计开 详情>>

数字 信号处理 信号 号处 处理 FPGA 实现


数字信号处理的FPGA实现(第3版)

内容简介目录内容简介FPGA仍旧是快速创新的领域,我非常高兴SpringerVerla9公司给我这个机会将FPGA领域的最新发展囊括到本书的第3版中。本版新增了总计150多页全新的理念和当前的设计方法。第3版的创新主要包括以下几方面。·现在许多FPGA都包含嵌入式l8位×18位乘法器,因而推荐在以DSP为主的应用中使用这些器件,因为嵌入式乘法器可以节省很多LE。例如,在本版的所有示例,中都用到的C 详情>>

数字 信号处理 信号 号处 处理 FPGA 实现


至芯科技FPGA创新中心

北京至芯科技是一家专注于中国高新技术培训和传播的高科技服务机构,致力于FPGA,DSP,低功耗等电子类前沿技术的设计研究,应用和推广。公司拥有数十名在相关领域有着丰富开发经验和管理经验的专家,以及包括在xilinx,Altera,清华,北大,中科院等知名机构任职的专家顾问团队,服务于包括世界500强在内的知名公司及国内各大军工集团所属研究所、高等院校。至芯FPGA创新中心作为公司旗下的培训专有品牌 详情>>

至芯 科技 FPGA 创新 中心


Altera FPGA/CPLD设计(基础篇)

本书可作为高等院校通信工程、电子工程、计算机、微电子与半导体等专业的教材,也可作为硬件工程师和IC工程师的实用工具书,结合作者多年工作经验,系统地介绍了FPGA/CPL的基本设计方法。在介绍FPGA/CPLD概念的基础上,介绍了Altera主流FPGA/CPLD的结构与特点,并通过丰富的实例讲解QuartusII与ModelSim、SynplifyPro等常用EDA工具的开发流程。基本信息内容简介 详情>>

Altera FPGA CPLD 设计 基础


ARM与FPGA综合设计及应用

全面介绍ARM与FPGA嵌入式设计及在工业控制中的应用。本书语言通俗易懂,讲解深入浅出,适合于从事ARM、FPGA开发的初中级人员使用,也可作为各高等院校单片机、嵌入式系统课程的教材和参考书。基本信息内容提要编辑推荐目录基本信息作者:廖义奎 编著ISBN:10位[7508361431]13位[9787508361437]出版社:中国电力出版社出版日期:2008-2-1定价:¥38.00元内容提要本 详情>>

ARM FPGA 综合 设计 应用


CPLD/FPGA常用模块与综合系统设计实例精讲

本书详细介绍了CPLD/FPGA常用模块与综合应用系统设计的方法与技巧。全书共分为3篇22章,第1篇为基础知识篇,简要介绍了CPLD/FPGA硬件结构知识、VHDL硬件编程语言、Verilog与SystemC编程、常用开发工具;第2篇为常用模块设计实例篇,通过14个模块设计实例,详细介绍了CPLD/FPGA的各种开发技术和使用技巧,这些模块实例几乎涵盖了所有的CPLD/FPGA开发技术;第3篇为综 详情>>

CPLD FPGA 常用 模块 综合 系统 设计 实例 精讲


CPLD与FPGA

FPGACPLD与FPGA(二者关系FPGA的工作原理FPGA的基本特点FPGA的配置模式)FPGA主要生产厂商FPGA设计注意事项(I/O信号分配降低静态功耗降低动态功耗)FPGA与CPLD的辨别和分类FPGA的应用FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(AS 详情>>

CPLD FPGA


DSP/FPGA嵌入式实时处理技术及应用

图书信息内容简介编辑推荐目录图书信息作者:孙进平(作者),王俊(作者),李伟(作者),等(作者)出版社:北京航空航天大学出版社;第1版(2011年9月1日)平装:288页正文语种:简体中文开本:16ISBN:9787512405455,7512405456条形码:9787512405455商品尺寸:25.6x18.4x1.4cm商品重量:481g内容简介孙进平、王俊、李伟、张有光等编著的《DSP/ 详情>>

DSP FPGA 嵌入式 嵌入 入式 实时 处理 技术 应用


FPGA

FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA简介(背景CPLD与FPGA的关系)FPGA工作原理FPGA的电源(FPGA使用的电源类型FPGA的特殊电 详情>>

FPGA


FPGA/CPLD技术实用教程

图书信息内容简介目录(第1章 可编程逻辑器件简介第2章 VHDL硬件描述语言第3章 QuartusⅡ软件及应用)图书信息FPGA/CPLD技术实用教程作 者:邹益民 主编出版社:中国电力出版社出版时间:2010-1-1开 本:16开ISBN:9787511401151定价:¥25.00内容简介《FPGA/CPLD技术实用教程》从实际应用角度出发,以Ahera公司的FPGA/CPLD芯片及相关EDA 详情>>

FPGA CPLD 技术 实用 教程


FPGA/CPLD系统设计与应用案例

本书共分为四大部分:数字电子技术(逻辑代数、组合逻辑电路、时序逻辑电路),可编程逻辑器件及开发工具(可编程逻辑器件、MAX+plusⅡ概述、原理图输入法设计、设计项目编译、电路仿真与时序分析、其他输入设计法和器件编程),硬件描述语言VHDL和EDA工程实例。图书信息内容简介图书目录图书信息书名:FPGA/CPLD系统设计与应用案例作 者:朱恭生,胡冬琴出版社:中国电力出版社出版时间:2009-7- 详情>>

FPGA CPLD 系统 设计 应用 案例


FPGA/CPLD应用设计200例

《FPGA/CPLD应用设计200例》分上、下两册。上册主要介绍FPGA/CPLD可编程控制器在网络通信、仪器仪表、工业控制、遥感遥测、汽车工业、航天军工及家用电器等领域的典型应用设计实例;下册主要介绍产品设计开发技巧、方法与秘诀,常用设计、开发工具及软件特性,常用芯片的结构特点等内容。《FPGA/CPLD应用设计200例》共计典型应用设计实例287个。版权信息内容简介编辑推荐目录版权信息书名:F 详情>>

FPGA CPLD 应用 设计 200


FPGA技术

现场可编程门阵列FPGA(FieldProgrammableGateArray)是美国Xilinx公司于1984年首先开发的一种通用型用户可编程器件。FPGA既具有门阵列器件的高集成度和通用性,又有可编程逻辑器件用户可编程的灵活性。FPGA简介控制器结构及内容系统采取的技术路线部分模块设计(锁存器设计运算器设计定时器设计波形输出红外线遥控设计)芯片的具体实现FPGA简介FPGA由可编程逻辑单元阵列 详情>>

FPGA 技术


FPGA开发板

FPGA开发板在基于MCU、定制ASIC和体积庞大的电线束来实现引擎及控制电子的系统方案已发展至接近其技术和应用极限,汽车工业正面临新的设计挑战,本文介绍FPGA在赛车引擎控制单元中的应用,帮助设计人员缓解产品更快推出市场的压力、减少元件数目、在单一硬件平台上实施标准化以及满足不断升级的安全要求。FPGA开发板应用可靠性和安全性评估影响因素应用实例FPGA开发板应用过去汽车电子产品的开发周期是漫长 详情>>

FPGA 开发


FPGA开发指南:DSP、嵌入式与高速传输

《开发指南:DSP、嵌入式与高速传输》全称《《XilinxISEDesignSuite10.xFPGA开发指南:DSP、嵌入式与高速传输》,该书以XilinxFPGA的数字信号处理、嵌入式系统和高速传输技术的开发为主线,以深入浅出、图文并茂的方式,较为全面、详细地介绍了Xilinx公司的终极开发套件ISEDesignSuite10.1中DSP、MicroBlaze/PowerPC和MGT的操作方法 详情>>

FPGA 开发指南 开发 发指 指南 DSP 嵌入式 嵌入 入式 高速 传输


FPGA开发指南:逻辑设计篇

《FPGA开发指南:逻辑设计篇》一书是由田耘、胡彬合著的计算机类书籍,由人民邮电出版社于2008年出版发行。该书详细讲解了XilinxISEDesignSuite10.1软件的操作方法和它在逻辑设计、DSP开发、嵌入式设计和高速传输领域的应用。版权信息内容简介编辑推荐目录文摘版权信息书名:FPGA开发指南:逻辑设计篇作 者:田耘胡彬出版社:人民邮电出版社出版时间:2008ISBN:97871151 详情>>

FPGA 开发指南 开发 发指 指南 逻辑设计 逻辑 辑设 设计


FPGA嵌入式系统设计

《FPGA嵌入式系统设计》是电子工业出版社出版的一本科技图书。本书是作者结合多年嵌入式系统和可编程逻辑器件的开发和教学经验写成的,内容丰富,取材新颖,可为相关专业工程技术人员、研究生在设计和使用嵌入式系统时提供参考。内容提要编辑推荐目录作者:孟宪元,钱伟康编著ISBN:10位[7121050315]13位[9787121050312]出版社:电子工业出版社出版日期:2007-10-1定价:¥49. 详情>>

FPGA 嵌入式 嵌入 入式 系统 设计


FPGA设计及应用

《FPGA设计及应用》,共八章,包括:FPGA设计概述、Altera可编程逻辑器件、VHDL硬件描述语言、QuartusIl6.0集成环境介绍、Altera器件的配置与调试、QuartusII中的宏模块、FPGA设计中的基本问题和FPGA电路设计实例等内容。该书可用作高等工科院校电子与通信类专业高年级本科生和研究生相关课程的教材和参考书,也可作为广大电子设计人员的设计参考书或使用手册。关于FPGA 详情>>

FPGA 设计 应用


FPGA设计指南:器件、工具和流程

该书介绍了什么是FPGA、FPGA如何工作、如何对FPGA编程以及FPGA设计中遇到的各种概念、器件和工具等。书名:FPGA设计指南:器件、工具和流程作者:马克斯菲尔德译者:杜生海邢闻ISBN:9787115168627类别:行业软件及应用页数:339定价:49.00元出版社:人民邮电出版社出版时间:2007-12-1装帧:平装开本:16开字数:432000内容简介作者简介目录(第1章 概论第2章 详情>>

FPGA 设计 指南 器件 工具 流程


FPGA设计指南器件、工具和流程

本书用简洁的语言向读者展示了什么是FPGA、FPGA如何工作、如何对FPGA编程以及FPGA设计中遇到的各种概念、器件和工具,如传统的基于HDL/RTL的仿真和逻辑综合、最新的纯C/C++设计捕获和综合技术以及基于DSP的设计流程。另外,本书还涉及大量丰富的、工程师所需的技术细节。本书适用于使用FPGA进行设计的工程师、进行嵌入式应用任务开发的软件工程师以及高等院校电气工程专业的师生。编辑推荐作者 详情>>

FPGA 设计 指南 器件 工具 流程


FPGA系统设计与实例

FPGA系统设计与实例一书共分为6章,分别介绍了EDA技术、可编程逻辑器件的基本知识及使用方法;VHDL语言设计方法;EDA的开发工具QuartusII的使用方法和技巧;基于VHDL的简单电路、应用电路及综合电路的设计,通过18个典型数字电路系统的设计实例(实例安排由简单到复杂),详细地介绍了基于EDA技术“自顶向下”的层次化设计的方法和技巧。内容简介目录FPGA系统设计与实例作 者:杨晓慧,杨旭 详情>>

FPGA 系统 设计 实例


FPGA芯片解密

芯片解密又叫单片机解密,单片机破解,芯片破解,IC解密,FPGA解密是芯片解密中的一类。为了防止未经授权访问或拷贝单片机的机内程序,大部分单片机都带有加密锁定位或者加密字节,以保护片内程序。如果在编程时加密锁定位被使能(锁定),就无法用普通编程器直接读取单片机内的程序,这就叫单片机加密或芯片加密。FPGA单片机攻击者借助专用设备或者自制设备,利用单片机芯片设计上的漏洞或软件缺陷,通过多种技术手段从 详情>>

FPGA 芯片 解密


FPGA应用开发入门与典型实例

FPGA应用开发入门与典型实例全面讲解了FPGA系统设计的背景知识、硬件电路设计,硬件描述语言VerilogHDL的基本语法和常用语句,FPGA的开发工具软件的使用,基于FPGA的软核嵌入式系统,FPGA设计的基本原则、技巧、IP核,FPGA在接口设计领域的典型应用,FPGA+DSP的系统设计与调试,以及数字变焦系统和PCI数据采集系统这两个完整的系统设计案例。1图书信息编辑推荐内容简介图书目录( 详情>>

FPGA 应用开发 应用 用开 开发 入门 典型 实例


FPGA应用开发实战技巧精粹

FPGA应用开发实战技巧精粹作者:杨跃本书着眼于实践,介绍了FPGA设计开发的大量技巧,内容包括Xilinx开发软件ISE的使用技巧、Altera开发软件Quartus的使用技巧、仿真工具ModelSim的使用技巧、综合工具Synplify的使用技巧以及设计数字电路的一般技巧。而且立足于实际,介绍的技巧都是在实际开发中经常使用到的,作者结合多年的工作经验组织编写了这本书书中总结了各种使用技巧并且提 详情>>

FPGA 应用开发 应用 用开 开发 实战 技巧 精粹


Virtex-6 FPGA

产品由来Virtex-6FPGA子系列领域产品由来赛灵思公司(Xilinx,Inc.)宣布推出新一代旗舰产品--Virtex®采用40nm工艺制造,高性能现场可编程门阵列(FPGA)系列产品,支持高性能、计算密集电子系统开发人员在面对更短设计周期和更低开发成本压力的情况下设计出“更绿色”的产品。新的Virtex-6FPGA系列比前一代产品功耗降低多达50%,成本降低多达20%。该系 详情>>

Virtex FPGA


爱上FPGA开发

书名:爱上FPGA开发作者:吴厚航ISBN:9787512405424页数:315页出版社:北京航空航天大学出版社出版时间:第1版(2011年10月1日)装帧:平装开本:1/16正文语种:简体中文尺寸:22.8x18.2x1.6cm重量:454g丛书名:EDA设计实战系列内容简介编辑推荐目录内容简介《爱上FPGA开发:特权和你一起学NIOS2》结合一款基于A1tera公司CycloneII系列FP 详情>>

爱上 FPGA 开发


高级FPGA设计

《高级FPGA设计-结构、实现也优化》以FPGA设计为主题,覆盖了实践过程中最可能遇到的深层次问题,并提供了经验指导。在某些方面,《高级FPGA设计-结构、实现也优化》能够取代有限的工业经历,免去读者学习的困难。这种先进的、实用的方法,成为此书的特色。这《高级FPGA设计-结构、实现也优化》把多年推广到诸多公司和工程师团队的经验以及由专门的白皮书和应用要点汇集的许多知识进行浓缩,可以用来完善工程师 详情>>

高级 FPGA 设计


高级FPGA设计结构、实现也优化

图书信息内容简介作者简介目录图书信息书名:高级FPGA设计结构、实现和优化作 者:(美)克里兹 ,孟宪元 译出版社:机械工业出版社出版时间:2009-2-1ISBN:9787111255475开本:16开定价:35.00元内容简介本书主要讲解了FPGA设计、方法和实现。这本书略去了不太必要的理论、推测未来的技术、过时工艺的细节,用简明、扼要的方式描述FPGA中的关键技术。主要内容包括:设计速度高、 详情>>

高级 FPGA 设计 结构 实现 优化


基于EDK的FPGA嵌入式系统开发

本书介绍Xilinx公司的嵌入式集成开发平台EDK工具组的使用方法,FPGA内嵌CPU核——软核Microblaze和硬核PowerFC405,以及使用这些CPU核与Xilinx提供的多种外设IP核进行嵌入式设计的流程与方法。引导读者熟悉EDK开发环境,以较小代价快速进入片上系统开发的广阔天地。内容提要编辑推荐目录作者:杨强浩等ISBN:10位[7111229142]13位[97871112291 详情>>

基于 EDK FPGA 嵌入式 嵌入 入式 系统 开发


基于FPGA的SOPC嵌入式系统设计与典型实例

《基于FPGA的SOPC嵌入式系统设计与典型实例》将基础知识和大量工程实例结合,实践性强。不但详细介绍了基于FPGA的嵌入式SOPC系统设计的构架与软硬件编程,同时提供了应用设计思路与方案,对实例的所有程序代码做了详细注释,利于读者理解和巩固知识点。本书配有光盘一张,包含了全书所有实例的硬件原理图和程序源代码,方便读者学习和使用。本书适合计算机、自动化、电子及硬件等相关专业的大学生,以及从事FPG 详情>>

基于 FPGA SOPC 嵌入式 嵌入 入式 系统 设计 典型 实例


基于FPGA的SOPC实践教程

图书信息内容简介目录图书信息基于FPGA的SOPC实践教程作 者:杨军编著出版社:科学出版社出版时间:2010-6-1开 本:16开ISBN:9787030280244所属分类:图书>>计算机/网络>>硬件外部设备维修定价:¥28.00内容简介本书共分8章。第1章为概述,第2章和第3章分别详细介绍了QuartusⅡ8.0、ModelSimSE6.0、SOPC软件的使用方法。 详情>>

基于 FPGA SOPC 实践 教程


基于FPGA的工程设计与应用

本书首先介绍了两种作为通用控制核心的FPGA最小系统板,接着详细介绍了基于FPGA的各种常用的接口电路设计和驱动程序设计,然后结合6个具体的工程设计(基于FPGA的真空镀膜机控制系统的设计,基于FPGA的连续自动测氡仪系统的设计,基于FPGA的多道脉冲幅度分析器的设计,基于FPGA的全自动配料控制系统的设计,基于PI控制算法的全数字锁相环的设计,多功能移相式函数信号发生器的设计)详细介绍了项目设计 详情>>

基于 FPGA 工程设计 工程 程设 设计 应用


基于FPGA的嵌入式系统设计

《基于FPGA的嵌入式系统设计》一书首先介绍FPGA技术的一般概念及其发展历程,透彻分析了嵌入式系统的概念,着重介绍了目前炙手可热的嵌入式系统设计及其在电子工程领域中正被日益广泛应用的SOPC(片上可编程系统)解决方案及其技术。图书信息内容简介编辑推荐目录图书信息作者:刘明章 主编ISBN:10位[7118052809]13位[9787118052800]出版社:国防工业出版社出版日期:2007- 详情>>

基于 FPGA 嵌入式 嵌入 入式 系统 设计


基于Verilog的FPGA设计基础

《基于Verilog的FPGA设计基础》是杜慧敏、赵全良的精心杰作,作者在书中讲述了FPGA的编程技术、NiosⅡ软核、SOPC系统设计方法以及AlteraSOPCBuilder软件的使用方法,该书是工程技术人员及高等院校的经典教程之一。版权信息内容简介目录版权信息书名:基于Verilog的FPGA设计基础作 者:杜慧敏赵全良出版社:西安电子科技大学出版社出版时间:2006ISBN:9787560 详情>>

基于 Verilog FPGA 设计 基础


深入浅出玩转FPGA

深入浅出玩转FPGA,作者吴厚航,由北京航空航天大学出版社出版。本书收集整理了作者在FPGA学习和实践中的经验点滴。书中既有日常的学习笔记,对一些常用设计技巧和方法进行深入探讨;也有很多生动的实例分析,这些实例大都是以特定的工程项目为依托,具有一定的借鉴价值;还有一些适合于初学者入门和进阶学习的实验例程;另外还给出了两个比较完整的DIY工程,让读者从系统角度理解FPGA的开发流程。图书信息内容简介 详情>>

深入浅出 深入 入浅 浅出 玩转 FPGA


实用C语言FPGA编程

版权信息内容简介目录版权信息书名:实用C语言FPGA编程作 者:(美)佩勒(美)蒂博出版社:机械工业出版时间:2007ISBN:9787111211594开本:16定价:38.00元内容简介目前用FPGA实现嵌入式系统呈现越来越最流行的趋势。本书介绍了用C语言为FPGA编程的原理和技术,以及如何用C语言实现FPGA硬件的平台。该平台提供了一个类似C语言的描述硬件需求的语言ImpulseC,设计者只 详情>>

实用 语言 FPGA 编程


无线通信FPGA设计

图书信息内容简介图书目录图书信息书名:无线通信FPGA设计作 者:田耘出版社:电子工业出版社出版时间:2008年02月ISBN:9787121058059开本:16开页数:501字数:826.0千字定价:58.00元适用对象:研究生本科教育>工学>电气信息类>通信工程自学培训教育>工业技术>电信技术>应用电子技术内容简介《无线通信FPGA设计》以Xilinx公司 详情>>

无线通信 无线 线通 通信 FPGA 设计


无线通信的MATLAB和FPGA实现

本书以无线通信关键技术的原理及其MATLAB和FPGA实现为主题,通过大量的MATLAB和FPGA开发实例,详尽地描述了无线通信中的常用模块和原理及实现流程,具体内容包括:无线通信开发简介、数字处理基础、DSP处理基础、数字调制、信道编码、最佳接收机、均衡、同步、数字前端技术以及WCDMA系统的关键技术。图书信息内容简介编辑推荐图书目录无线通信的常用实现图书信息书名:无线通信的MATLAB和FPG 详情>>

无线通信 无线 线通 通信 MATLAB FPGA 实现